how to display on LCD of FPGA board?

Joined
Oct 8, 2008
Messages
8
Reaction score
0
Hello everyone
Good day.

I am a very novice in VHDL programming. I want to display a bit-stream (for example: 1101) in the LCD of Xilinx® Virtex™-4 LX MB Development Kit. For the time being I take the inputs (EN, RS, INPUT DATA) using DIP switches and want to display the DATA on LCD.

Here is my simple code

entity test_lcd is
port(
lcd_rs, lcd_en: inout std_logic;

lcd_in: in std_logic_vector(3 downto 0);
lcd_out: out std_logic_vector(3 downto 0)

);
end test_lcd;

architecture test_lcd_arch of test_lcd is
begin
lcd_out <= lcd_in;

end test_lcd_arch;



-----------------------------------

My .ucf code is

# Two DIP switch to take input RS and EN
NET "lcd_rs" LOC = C10;
NET "lcd_en" LOC = D10;

# Four DIP switch for DATA input to be displayed on LCD
NET "lcd_in<0>" LOC = D1;
NET "lcd_in<1>" LOC = D2;
NET "lcd_in<2>" LOC = A4;
NET "lcd_in<3>" LOC = B4;

# signal to RS and EN of LCD display
NET "lcd_rs" LOC = N21;
NET "lcd_en" LOC = L19;

# signal to D0-D3 of LCD display
NET "lcd_out<0>" LOC = K25;
NET "lcd_out<1>" LOC = P19;
NET "lcd_out<2>" LOC = AC10;
NET "lcd_out<3>" LOC = AB10;

----------------------------------------

I have generated the programming file and downloaded it to the FPGA. But the LCD display shows nothing when i change the DIP switches. Plz help me to find the mistake.

Please HELP.

Pantho
 
Last edited:
Joined
Oct 8, 2008
Messages
8
Reaction score
0
Hello everyone
Good day.

I am a very novice in VHDL programming. I want to display a bit-stream (for example: 1101) in the LCD of Xilinx® Virtex™-4 LX MB Development Kit. For the time being I take the inputs (EN, RS, INPUT DATA) using DIP switches and want to display the DATA on LCD.

Here is my simple code

entity test_lcd is
port(
lcd_rs, lcd_en: inout std_logic;

lcd_in: in std_logic_vector(3 downto 0);
lcd_out: out std_logic_vector(3 downto 0)

);
end test_lcd;

architecture test_lcd_arch of test_lcd is
begin
lcd_out <= lcd_in;

end test_lcd_arch;



-----------------------------------

My .ucf code is

# Two DIP switch to take input RS and EN
NET "lcd_rs" LOC = C10;
NET "lcd_en" LOC = D10;

# Four DIP switch for DATA input to be displayed on LCD
NET "lcd_in<0>" LOC = D1;
NET "lcd_in<1>" LOC = D2;
NET "lcd_in<2>" LOC = A4;
NET "lcd_in<3>" LOC = B4;

# signal to RS and EN of LCD display
NET "lcd_rs" LOC = N21;
NET "lcd_en" LOC = L19;

# signal to D0-D3 of LCD display
NET "lcd_out<0>" LOC = K25;
NET "lcd_out<1>" LOC = P19;
NET "lcd_out<2>" LOC = AC10;
NET "lcd_out<3>" LOC = AB10;

----------------------------------------

I have generated the programming file and downloaded it to the FPGA. But the LCD display shows nothing when i change the DIP switches. Plz help me to find the mistake.

Please HELP.

Pantho
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,900
Latest member
Nell636132

Latest Threads

Top