Matlab (.m) to VHDL

V

Vitaliy

Hello,

I have seen this question many times in the newsgroups but I did not
see a clear answer.
I have to perform various operations on arrays of data (such as
multiplication, addition, finding mean, etc.). I have code written in
Matlab and would like to translate it to vhdl. I understand that such
subroutines as imagesc, imwrite, etc. might not be possible to
translate to vhdl and will need to be written (or similar functions
might be already implemented in vhdl). Is there anyway of directly
translating Matlab code directly to vhdl? Can this be done using
Simulink (Xilinx System Generator)? I don't have System Generator at
home and Xilinx doesn't seem to have evaluation version (asking for
Product Serial Number). Or maybe my question should be: can this be
done in Simulink to start with?
I have Xilinx FPGA/ISE. And if this can not be done using System
Generator, is there anything else that can be used?

Please let me know if my requirements are not very clear.

Thanks,
Vitaliy
 
D

David Bishop

Vitaliy said:
Hello,

I have seen this question many times in the newsgroups but I did not
see a clear answer.
I have to perform various operations on arrays of data (such as
multiplication, addition, finding mean, etc.). I have code written in
Matlab and would like to translate it to vhdl. I understand that such
subroutines as imagesc, imwrite, etc. might not be possible to
translate to vhdl and will need to be written (or similar functions
might be already implemented in vhdl). Is there anyway of directly
translating Matlab code directly to vhdl? Can this be done using
Simulink (Xilinx System Generator)? I don't have System Generator at
home and Xilinx doesn't seem to have evaluation version (asking for
Product Serial Number). Or maybe my question should be: can this be
done in Simulink to start with?
I have Xilinx FPGA/ISE. And if this can not be done using System
Generator, is there anything else that can be used?

Please let me know if my requirements are not very clear.

At the moment there is no such thing. There are several Simulink based
tools out there which help you get to hardware. You have to pay for
these though, and you will really have no "golden" code if you go this
route.

I have written VHDL packages:
http://www.vhdl.org/vhdl-200x/vhdl-200x-ft/packages/files.html
Which follows the rules in Matlab, so creating this program should be
possible.
 
J

Jim Lewis

Vitaliy,
There was a paper presented at MAPLD bridging Matlab using
the library David talked about. The abstract of the paper
is posted at:
http://www.klabs.org/mapld06/abstracts/225_hoy_a.html

I expected to see the paper there too, but did not see it.
You can contact the conference organizer and see if or when
it will be available.

Cheers,
Jim Lewis
VHDL Evangelist
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top