Matrix multiplication

M

mhamzahab

Hello to all:
I am new in this forum and also new in verilog programming. I am facing problem in verilog is that i want to multiply matrix in verilog. First i try to do with loops but i realize loops are not synthesize. So i try to do with state machine. But when i declare two dimensional array for out it give error. Please help me in this regards.If any one have code for matrix multiplication and matrix transpose . Please send me on my email."(e-mail address removed)" i will be very thank full to you.
best regards
Hamza
 
H

himu8055

Hello,
i am trying to write verilog code for matrix multiplication 3X3 using memory
can i get from u the state machine which u had for matrix multiplication
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top