Need help

M

mddelwoer

Hello i need help . I am very new in java . Now i am trying to do some
priliminary program in java but facing some problem . i have installed
Textpad and jdk1.5.0_06,jre1.5.0_06,jre1.5.0_09 on my system . I got
success to run hello world program but i falled to run the program
which regarding addition of two integer number . please help me .
thank's in advance
 
B

Bart Cremers

Hello i need help . I am very new in java . Now i am trying to do some
priliminary program in java but facing some problem . i have installed
Textpad and jdk1.5.0_06,jre1.5.0_06,jre1.5.0_09 on my system . I got
success to run hello world program but i falled to run the program
which regarding addition of two integer number . please help me .
thank's in advance

This is way to little info to help you out. It's very nice to know you
installed all stuff an were able to run HelloWorld, but the actual info
needed to help out is the exact problem you got. Please provide:

an SSCCE (http://homepage1.nifty.com/algafield/sscce.html) for your
problem. Probably your full code will do as the task seems trivial
enough.
some detail about the problem (error messages, things you tried, ...)

regards,

Bart
 
I

in_dv

Whats the error?
Bart said:
This is way to little info to help you out. It's very nice to know you
installed all stuff an were able to run HelloWorld, but the actual info
needed to help out is the exact problem you got. Please provide:

an SSCCE (http://homepage1.nifty.com/algafield/sscce.html) for your
problem. Probably your full code will do as the task seems trivial
enough.
some detail about the problem (error messages, things you tried, ...)

regards,

Bart
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,780
Messages
2,569,611
Members
45,265
Latest member
TodLarocca

Latest Threads

Top