Numeric_std.to_unsigned function in VHDL

ELI

Joined
Oct 8, 2023
Messages
1
Reaction score
0
Hello, everyone,
I wonder what happen if the integer value is higher than the result bits number?
Is it just truncated?

For instance in case of to_unsigned(1189,8): the 547 decimal is x"4A5". But the number of bits for conversion is 0nly 8.
So, the result will be x"A5"?

Thanx,
Eli.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,065
Latest member
OrderGreenAcreCBD

Latest Threads

Top