Signal Processing Using VHDL

T

tang

Hey Guys, VHDL HELP

I am a beginner to VHDL. I know how to write basic codes in VHDL.
I want to implement a Speech Recognition system using FPGA. So i want
to learn how to design the code in VHDL.
For that i have to learn the very basic of Signal Processing or
Analyzing using small inputs.

Can anyone give me start to it? PLEASE

thanx in adv
 
A

Aiken

Get all the basic elements you need in your speech recognition system
Get to understand how to make a FIR filter, Multiplier, Adder,
Pipeline...etc..
 
E

Enes Erdin

I can talk about the way that I do things. For those kind of designs I
use some mathematics tools like Matlab. I don't use built-in
functions, rather I write them in my own in a VHDL style this helps me
while debugging my VHDL code. Additionally try to divide your codes
into small parts like FIR block, memory block, control block... this
also helps you to debug the code easily.

Good luck,

--enes
 
S

Shannon

I can talk about the way that I do things. For those kind of designs I
use some mathematics tools like Matlab. I don't use built-in
functions, rather I write them in my own in a VHDL style this helps me
while debugging my VHDL code. Additionally try to divide your codes
into small parts like FIR block, memory block, control block... this
also helps you to debug the code easily.

Good luck,

--enes

I'll strongly agree with what has been said. Nothing has been more
helpful (other than this group!) than breaking things into small
bits. Write, test, re-write, etc until each piece is bullet-proof -
garbage in and perfection out. This style also helps you when you are
farther down the line and can grab from your own toolbox of modules.

The other thing I would add is now is the time to develop good
practices. Make sure you're using "proper" libraries. Take a look at
your coding style and try to be consistant. For example, state
machines, pick a one, two, or three process style and stick with it.
Down the line you might change your mind but at least all your code up
to that point will be consistant and easy to modify.

Shannon
 
T

tang

I'll strongly agree with what has been said. Nothing has been more
helpful (other than this group!) than breaking things into small
bits. Write, test, re-write, etc until each piece is bullet-proof -
garbage in and perfection out. This style also helps you when you are
farther down the line and can grab from your own toolbox of modules.

The other thing I would add is now is the time to develop good
practices. Make sure you're using "proper" libraries. Take a look at
your coding style and try to be consistant. For example, state
machines, pick a one, two, or three process style and stick with it.
Down the line you might change your mind but at least all your code up
to that point will be consistant and easy to modify.

Shannon

Thanx guys...
Can anyone direct me to some sample codes or tutorials or any good
books to start with....
I found a code on FIR filter...but i am still confused about what will
the complete pieces and how will i connect them...
My very first confusion is how can i let my FPGA read and store the
incoming voice data?...
 
E

Enes Erdin

I found a code on FIR filter...but i am still confused about what will
the complete pieces and how will i connect them...
My very first confusion is how can i let my FPGA read and store the
incoming voice data?...

Start by simulating the code you have found. Do you know how your
system will be? What will supply data to your system? How large are
the packets? I think you will need external RAMs for this purpose. How
new you are I don't know but, perhaps you can try to simulate some RAM
operation, write some data to them and read by the help of a state
machine.

--enes
 
T

tang

Start by simulating the code you have found. Do you know how your
system will be? What will supply data to your system? How large are
the packets? I think you will need external RAMs for this purpose. How
new you are I don't know but, perhaps you can try to simulate some RAM
operation, write some data to them and read by the help of a state
machine.

--enes

For out initial design we will use the
- DSP micro controller to process the incoming analog siganl. It will
filter and sample the signal to digital.
- Then the software stored in the uC will frame and window the
digitized the signal.
- This will then be passed on to the FPGA which will start comparing
the words using HMM.

That is how i have designed the system so far.
As enes said i can store the processed digitized signal to the RAM ans
then use FSM to read in FPGA. But for initial simulation how can i
simulate the RAM. And most important do i still need the FIR filter
for my design or i can proceed with the comparison directly?

thanx
 
Joined
Apr 8, 2009
Messages
1
Reaction score
0
Merhaba,



Forumlarda dolasirken isminizi gordum ve mail adresinizin bu oldugunu dusunup mail atmaya karar verdim. Size bisey sormak istiyorum.



Bogazici Universitesi Elektrik-Elektronik Muhendisligi son sinif ogrencisiyim ve bitirme projesi olarak "speech recognition using FPGA technology" isimli konuyu almis bulundum ama su anda hicbir asama kaydedemis durumdayim. Acaba bana yardimci olabilirmisiniz ?
 
Joined
May 1, 2009
Messages
1
Reaction score
0
emren selam

Kullanıcı adından cıkaramadım ama kesin tanışıoz en azından görmüşlük bazında :) yüksek lisantayım ben de bounda elektronikte.. şenol hocanın 540 dersinin dönem projesi için aldık aynı konuyu senin tezinle, sen kayıtlı mısın o derse? murat_pak at yahoo.com mail atarsın buna, görüşürüz bi ara istersen. biz de daha başlamadık da en azından fikir alışverişimiz olur.



emren said:
Merhaba,



Forumlarda dolasirken isminizi gordum ve mail adresinizin bu oldugunu dusunup mail atmaya karar verdim. Size bisey sormak istiyorum.



Bogazici Universitesi Elektrik-Elektronik Muhendisligi son sinif ogrencisiyim ve bitirme projesi olarak "speech recognition using FPGA technology" isimli konuyu almis bulundum ama su anda hicbir asama kaydedemis durumdayim. Acaba bana yardimci olabilirmisiniz ?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,062
Latest member
OrderKetozenseACV

Latest Threads

Top