vhdl left register

CS_

Joined
Dec 6, 2009
Messages
3
Reaction score
0
can anyone solve this



Write the VHDL Code for a 16-bit shift left register
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
Hi

Find inspiration in this:

Code:
process( clk)
begin
     if rising_edge( Clk) then
        if reset='1' then
             Shreg <= (others=>'0');
        else
             Shreg <= Shreg( 14 downto 0) & Databit;
        end if;
    end if;
end process;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,057
Latest member
KetoBeezACVGummies

Latest Threads

Top