Xst:2677

Joined
Sep 21, 2010
Messages
5
Reaction score
0
hello, I have those hundreds of annoying warnings
Node <U4/M_D_13_0> of sequential type is unconnected in block <LCD_TOP>.
Node <U4/M_D_6_7> of sequential type is unconnected in block <LCD_TOP>.
I searched over the web solutions and I didn't find anything helpful. I have 1 top component that includes anothers inside, one of them, when I synthesize it (like a top component) didn't fire any warning or errors. But when I got to the top component and synthed- it fires this warning. take a look
the troublemaker component:
|
|--when I finish recieving the msg the other parts are getting the same values

when MSG_READY=>
for i in 1 to 79 loop
if 79-d_c=0 then
exit;
else
M_D(d_c)<=BB;-- M_D is an array consists of 1 byte vector, BB hex constant d_c<=d_c+1;
end if;
end loop;
if masg_ready='0' then
masg_ready<='1';
STATE<=MSG_READY;
else
masg_ready<='0';
STATE<=reading;
end if;
|
|
P0<=M_D(1);--P0 is a byte- alias of vector 319:0 which is out
P1<=M_D(2);
|
| --till 80
the Top component- connects between out and in with signal in length of 319:0.
Now if I looked up for say M_D(6) I only found him at the end (P6<=M_D(6)) so it might think that it's not assigned or something but it actually DO assign it in the for loop . It can not be that it doesn't go thorugh the loop,
I tried to assign manually and still does the same problem. Its somthing on my top component which I don't understand so I'll be greatfull if you help me plz =]
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,049
Latest member
Allen00Reed

Latest Threads

Top