FPGA design

Joined
Oct 18, 2006
Messages
6
Reaction score
0
hi

could you please explain me about the "bonded IOBs"
i am working on FPGA ,xilinx ISE 8.1 .

the target board is virtex 2vpro and it has 156 IBOs
i am able to synthesis my design with few errors , when i implement design i am not able to map.it throws a error saying that "The design is too large for the given device and package."

when i look at the summary i found that i have exceeded(256) the available
(156) limit "Number of bonded IOBs: 262 out of 156 167% (OVERMAPPED)"

so to decrease the over usage of bonded IOBs wht is that i have to DO?


lokesh
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,581
Members
45,056
Latest member
GlycogenSupporthealth

Latest Threads

Top