newbie problem with Xilinx tutorial

D

Dave

Hi all -

I'm just getting started with Xilinx FPGAs and I'm having a problem getting
the built-in Xilinx tutorial to run under ISE 8.1.03i.

I've tried their "tech support" (filed a case) but its been two weeks today
and the "tech" is not "supporting".

I'm running Windows XP Media Edition (SP2), 3.0GHz, 1GB, etc. This is the
downloaded Webpack.

Everything in the tutorial ran fine until I got to the "Create a
Self-Checking Test Bench Waveform" section. When I tried to double-click
the "Generate Expected Simulation Results", I get the following errors:

Running Fuse ...
Parsing "counter_tbw_gen.prj": 0.14
ERROR:Simulator:170 - work/counter/Behavioral is not compiled properly.
Please recompile work/counter/Behavioral in file
"C:/projects/Xilinx/tutorial2/counter.vhd" without -incremental option.
ERROR:Simulator:199 - Failed when handling dependencies for entity
counter_tbw, architecture testbench_arch

I followed the tutorial exactly. Where is the "-incremental" option
specified and how do I disable it?

Has any one else seen this problem?

TIA.

Dave
 
D

drabkins

Hi Dave

Can you share what you've found since I'm running into the same problem
?

Nir
 
Joined
Nov 26, 2007
Messages
1
Reaction score
0
Nir (or anyone else Googling this up),

I'm having the same problem with ISE Simulator v9.1. The work around that I found was to "touch" the file the ERROR:Simulator:170 barks about.

For Dave's example, just open "C:/projects/Xilinx/tutorial2/counter.vhd", tweak something, and re-save. (I just add a blank and then delete it.) This will cause the simulator to recompile the source and eliminate the error. You may have to do this for a series of source files if ISE Simulator has lost it's way. :-(

The error has nothing to do with "-incremental" compilation. If someone finds a way to "recompile all" for the ISE Simulator, please pass it along.

-Mark
 
Joined
Oct 2, 2011
Messages
1
Reaction score
0
hey everyone,
iam new to xilinx 8.1 and followed all the procedures as mentioned in the tutorial to create the vhdl counter code....everything was fine but when i wanted to create the self checking test bench waveform ......on double clicking the generate expected simulation result i got the following error
"Simulator:222 - Generated C++ compilation was unsuccessful"
please advice how to remove the error
got a project to complete
-ankita
 
Joined
Mar 22, 2012
Messages
2
Reaction score
0
i too have got same problem :(:(:(

hi everyone,
i m too having the same problem in my project.....can please someone help me with this ASAP......:sad::sad::sad:
1) work/pack is not compiled properly. Please recompile work/pack in file "C:/Xilinx92i/testbench/TESTBENCH.vhd" without -incremental option.

2) Failed when handling dependencies for entity in_tb_0, architecture testbench_arch

these re the prob which i m facing nw.....and i need an immediate solution for this error....please someone out there kindly help me to solve tis error......
 
Joined
Mar 22, 2012
Messages
2
Reaction score
0
hey everyone,
iam new to xilinx 8.1 and followed all the procedures as mentioned in the tutorial to create the vhdl counter code....everything was fine but when i wanted to create the self checking test bench waveform ......on double clicking the generate expected simulation result i got the following error
"Simulator:222 - Generated C++ compilation was unsuccessful"
please advice how to remove the error
got a project to complete
-ankita

have yu found a solution to solve this problem.....if so please reply me.......
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,055
Latest member
SlimSparkKetoACVReview

Latest Threads

Top