change variable in case statement

V

vtbvtb

Hello,

I have a problem with logic of case statement in vhdl. I wonder is the variable that selects the case condition can be changed within the statement. To clarify:

process(clk)

variable aaa: std_logic_vector(2 downto 0):="000";

case aaa is

when "000" =>
if () then
something
end if;
aaa := aaa+1;

when "001" =>
something something


when VALUE_4 to VALUE_N =>
-- sequential statements

when others =>
-- sequential statements

end case ;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top