Converting integer to std_logic_vector

R

Rockerboy

Hi,

I am new to VHDL. I want to convert an integer to std_logic_vector of
length 32. Is there any in-built function in VHDL for this?

I am doing the following includes:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

Thanks,
Abhishek
 
K

KJ

Rockerboy said:
Moments after I posted, I found conv_std_logic_vector is the right
function
Actually you shouldn't use std_logic_arith. It's not an IEEE standard, it's
just something that an early VHDL supplier came up with...it has some
issues.

What you should use instead is ieee.numeric_std. In that package are
to_unsigned() and to_signed() functions that convert integers into the
appropriate representations. unsigned and signed can then be simply
converted to std_logic_vector.

Usage example:
use ieee.numeric_std.all;
.....
my_slv <= std_logic_vector(to_unsigned(my_integer, my_slv'length)); -- if
my_integer is actually 'natural'
my_slv <= std_logic_vector(to_signed(my_integer, my_slv'length)); -- if
my_integer could be negative

KJ
 
T

tigerx

Hi Abhishek,

there is an inbuilt function in VHDL to convert interger to
logic_vector.

In declaration do like this.

signal temp_integer: integer;
signal temp: std_logic_vector(31 downto 0);

Here how you can convert

temp <= conv_std_logic_vector(temp_integer,32);


Enjoy!!!
 
D

Dave

Hi Abhishek,

there is an inbuilt function in VHDL to convert interger to
logic_vector.

In declaration do like this.

signal temp_integer: integer;
signal temp: std_logic_vector(31 downto 0);

Here how you can convert

temp <= conv_std_logic_vector(temp_integer,32);

Enjoy!!!







- Show quoted text -

No, really, use to_unsigned from numeric_std, and cast to
std_logic_vector like KJ says. It is the IEEE standard library for
signed and unsigned types. Especially when you're learning the
language, you want to learn to do things the right way, and build good
coding habits.

Changing the world, one engineering student at a time...
 
A

Andy

Hi Abhishek,

there is an inbuilt function in VHDL to convert interger to
logic_vector.

In declaration do like this.

signal temp_integer: integer;
signal temp: std_logic_vector(31 downto 0);

Here how you can convert

temp <= conv_std_logic_vector(temp_integer,32);

Enjoy!!!

The conv_std_logic() function is not "inbuilt" in vhdl. It is a
function in a package that, while residing in the IEEE library in most
tools, is not an official part of the language standard or any IEEE
standard package. It was put there, in violation of the IEEE standard,
by synopsys, and everyone else has followed suit, to maintain
compatibility with synopsys.

The IEEE standard way to use arithmetic with vectors is to cast them
to numeric_std.signed or numeric_std.unsigned, as appropriate for the
values you are trying to use. The IEEE standard numeric_std package
includes conversions to and from signed/unsigned and integer.

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,043
Latest member
CannalabsCBDReview

Latest Threads

Top