Distributed Arithmetic in VHDL

E

Elnaz

Hi everybody,

I need a simple vhdl code for signed distributed arithmetic. I want to
calculate the result of an inner product with distributed arithmetic
(FIR filter). Can you guide me to some sources please?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,770
Messages
2,569,583
Members
45,074
Latest member
StanleyFra

Latest Threads

Top