Division in VHDL

Joined
Jun 1, 2009
Messages
3
Reaction score
0
Hi All,
I'm new to VHDL.

I have a C-code with me:

for (i = 0; i < 256; i++)
prob = (double) A / (double) n;


What is the easiest way to implement this in VHDL? I dont care to optimize on the division.

Thanks in advance.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,564
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top