#Error loading design

Joined
Oct 9, 2009
Messages
1
Reaction score
0
Hi @ all,

Im working with the student version of Modelsim, I have dowloaded the latest version (6.5b) and the licence and copied it to the root folder(C:\dev\Modeltech_pe_edu_6.5b).

Now to the problem:

Im using "vsim -voptargs=+acc work.tdm_bert_tb" as my run command.
My only error report is:
#Error loading design

Due to the limited content of the error msg I have difficulties finding a solution.

What have I already done:
I have reinstalled Modelsim + licence with Administrator rights, tried running it with different -commands e.g. vsim -optargs work.tdm_bert_tb, vsim work.tdm_bert_tb (none).
I only recieve the above mentoinened error.

Any suggestions are welcome.

Thanks in advance.

Kel.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top