GHDL problems with (apparently) valid "alias"

M

Mike Young

First question, is GHDL a viable tool? Subsequent questions are moot if not.

The reason I'm asking is ghdl errors out everywhere that I use 'alias'.
For example:

subtype word_t is std_logic_vector(v_in'high downto v_in'low);
type vword_t is array (DEPTH-1 downto 0) of word_t;

signal words : vword_t := (others => (others => '0'));
alias top_word is words(DEPTH-1); -- line 64.

and DEPTH is given by:
Generic ( DEPTH : natural := 6);

The ghdl error message is:
$ ghdl -a --std=93c --ieee=standard s*.vhd
shift_buffer.vhd:64:29: 'begin' is expected instead of '('
/usr/lib/ghdl/bin/ghdl: compilation error

This is from a project that XST likes well enough to synthesize.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,904
Latest member
HealthyVisionsCBDPrice

Latest Threads

Top