Launch ModelSim shell file

Joined
Nov 18, 2010
Messages
2
Reaction score
0
Hi,

I am trying to launch ModelSim from a file because I need to launch three separate ModelSim at the same time.
I created the file pre_compile.sh:

Code:
#!/bin/sh
vlib work
vcom Digital1101.vhd Digital1111.vhd NOT_rsvd.vhd
vsim -foreign "simlinkserver solaris32/liblfmhdls_gcc412.so;-socket 5678"-novopt Digital1111 &
vsim -foreign "simlinkserver solaris32/liblfmhdls_gcc412.so;-socket 5679"-novopt Digital1101 &
vsim -foreign "simlinkserver solaris32/liblfmhdls_gcc412.so;-socket 5680"-novopt NOT_rsvd &

but when I call the file. / pre_compile.sh it compiles the files .vhd but ModelSim will not start.

I think the problem is with the symbol &

Is there any solution that can help me?

Thanks
 
Joined
Nov 18, 2010
Messages
2
Reaction score
0
I think I have found the solution

Code:
vlib work
vcom Digital1101.vhd Digital1111.vhd NOT_rsvd.vhd
vsim -foreign "simlinkserver solaris32/liblfmhdls_gcc412.so;-socket 5678"-novopt Digital1111 Digital1101 NOT_rsvd

So when the ModelSim launch it run's with all blocks in the same socket
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top