Line 50. parse error, unexpected IDENTIFIER, expecting SEMICOLON

Joined
Oct 31, 2021
Messages
1
Reaction score
0
Can anyone help me with this error, I am new to VHDL:

1635652448832.png
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top