Looking for a VHDL simple description for RS-232

S

Seph

Im new to VHDL and after study a book, im going(at least i want) to
implement a rs-232 protocol communication.


I made some search on this group but links for the codes were broken,
those posts are really old, so if theres any source of VHDL code for
rs-232 with an "OK" link, i would really apreciate...

More simple is better for me..

Im a new member in this group between ...

Thx in any advance...
 
V

Vince

Seph a écrit:
Im new to VHDL and after study a book, im going(at least i want) to
implement a rs-232 protocol communication.


I made some search on this group but links for the codes were broken,
those posts are really old, so if theres any source of VHDL code for
rs-232 with an "OK" link, i would really apreciate...

Take a look at http://www.opencores.org/
 
S

Stef

In comp.lang.vhdl,
Seph said:
Im new to VHDL and after study a book, im going(at least i want) to
implement a rs-232 protocol communication.


I made some search on this group but links for the codes were broken,
those posts are really old, so if theres any source of VHDL code for
rs-232 with an "OK" link, i would really apreciate...

You cannot implement RS232 in VHDL as the RS232 defines the voltage levels
used for communication. I assume you want to be able to transmit/receive
the required bit patterns. That job is done bij a UART, and googling for
that will give you a lot of working examples.

http://www.google.com/search?l&q=vhdl+uart
(But even googling for vhdl+rs232 gives a lot of usefull results,
including a comlete example by Jonathan Bromley in this newsgroup :) )

First link (asic-world) is a simple, working example, but check out some
more.
 
S

Stef

In comp.lang.vhdl,
Jonathan Bromley said:
Really??? I don't remember it... though I have a few
tucked away in my goodie-bag, just in case anyone should
say to me at a smart dinner party "hey, Jonathan, I was
just wondering if you had a UART design in VHDL handy"...

In a week the post is 4 years old:
http://www.velocityreviews.com/forums/t23492-vhdl-model-of-a-rs-232-transmitter.html

They have made it to look like a web forum and obfuscated the origins somewhat.
Click on "> VHDL" near the top and you will see current posts.

(This and cross-posting is why I include the newsgroup in my attributions,
at least I can see where I posted it originally)
 
S

Seph

Thx for all the help... Before posting i made a search at the old
posts about rs-232, but it didn't helped that much...
 
B

Bert_Paris

Seph a exposé le 18/03/2009 :
Im new to VHDL and after study a book, im going(at least i want) to
implement a rs-232 protocol communication.


I made some search on this group but links for the codes were broken,
those posts are really old, so if theres any source of VHDL code for
rs-232 with an "OK" link, i would really apreciate...

More simple is better for me..

Im a new member in this group between ...

Thx in any advance...

I have built an Application Note for newbies (& students !) who want to
understand and implement RS232 (UART) in an FPGA. Especially when it's
an assignement, they should follow this document instead of asking for
the UART code (which I give away only in the context of larger
educational projects).
I have also built a nice (I think) Tutorial to implement and test a
simple UART in the new Igloo nano Kit (49 $!), complete with all steps
from installing the (free) development software to building the design,
programming the kit and testing the RS232 on a PC.
These are available at :
http://www.alse-fr.com/UART/ALSE_RS232.pdf
http://www.alse-fr.com/Actel/ALSE_Igloo_nano.exe
As I state in this document, do not expect tech support for free stuff,
though I welcome useful remarks and suggestions.

Hope it helps (and will reduce the amount of requests for the UART),

Bert Cuzeau
CTO ALSE
(can be reached at info@ the website in the links above)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top