port names in vhdl

  • Thread starter Ralf Hildebrandt
  • Start date
R

Ralf Hildebrandt

rajan wrote:

Is it possible to have the same names of the ports in top-level entity as in
the components.

Yes it is possible and it is quite useful for good readable code. Just
try it. - Or what is your question? (I can't see any question mark in
your posting. ;-) )

Ralf
 
R

rajan

Hi,

Is it possible to have the same names of the ports in top-level entity as in
the components. e.g.

entity U_1 is
port (A, B : in std_logic;
Cout: out std_logic
);
end entity;

architecture example of U_1 is
component C_1
port (A, B : in std_logic;
Y : out std_logic
);
end component;

...........

u1 : C_1 port map (A => A, B => B, Y => Cout) ;


Is it possible to do like this. Though this is just an example so, please
ignore the syntax.

Thanks in advance.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,781
Messages
2,569,619
Members
45,316
Latest member
naturesElixirCBDGummies

Latest Threads

Top