Problem during mixed VHDL SystemC simulation with Modelsim 6.2a

S

Steven Derrien

Hi folks,

Don't really know if it's the right place to ask, but I'm having
difficulties simulating a mixed systemC/VHDL model using Modelsim.

I have a simple VHDL testbench which instanciates the systemC module
(I used vgencomp to avoid port type/name mismatch). Whe I statrt the
simutlaion I get a "Constructor for SystemC module 'xr16_sc' not found"
error message.

Here is my Modelsim command log :
vcom -reportprogress 300 -work work
E:/projects/RDISK/filter_image/vhdl_rdisk/xr16_sc_tb.vhd
# Model Technology ModelSim SE vcom 6.2a Compiler 2006.06 Jun 16 2006
# -- Loading package standard
[...]
# -- Loading entity xr16_sc
sccom -I./sc/ sc/xr16.cpp
# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
#
# Exported modules:
# XR16_SC
sccom -link
# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
vsim work.xr16_sc_tb
# vsim work.xr16_sc_tb
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: (vopt-3677) Constructor for SystemC module 'xr16_sc' not found.
# ** Error: Vopt Compiler exiting.
# Error loading design

Any hints ?

Thank in advance.
 
H

Hans

Hi Steven,

The obvious question, do you have a constructor (i.e. SC_CTOR) specified in
your xr16.h header? You need to specify one since SC_CTOR does more than a
C++ constructor (hence the need for SC_HAS_PROCESS if you don't use SC_CTOR)

This could also be a Modelsim bug, try disabling vopt (vsim -novopt +acc)

Hans
www.ht-lab.com



Steven Derrien said:
Hi folks,

Don't really know if it's the right place to ask, but I'm having
difficulties simulating a mixed systemC/VHDL model using Modelsim.

I have a simple VHDL testbench which instanciates the systemC module
(I used vgencomp to avoid port type/name mismatch). Whe I statrt the
simutlaion I get a "Constructor for SystemC module 'xr16_sc' not found"
error message.

Here is my Modelsim command log :
vcom -reportprogress 300 -work work
E:/projects/RDISK/filter_image/vhdl_rdisk/xr16_sc_tb.vhd
# Model Technology ModelSim SE vcom 6.2a Compiler 2006.06 Jun 16 2006
# -- Loading package standard
[...]
# -- Loading entity xr16_sc
sccom -I./sc/ sc/xr16.cpp
# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
#
# Exported modules:
# XR16_SC
sccom -link
# Model Technology ModelSim SE sccom 6.2a compiler 2006.06 Jun 16 2006
vsim work.xr16_sc_tb
# vsim work.xr16_sc_tb
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: (vopt-3677) Constructor for SystemC module 'xr16_sc' not
found.
# ** Error: Vopt Compiler exiting.
# Error loading design

Any hints ?

Thank in advance.
 
S

Steven Derrien

Hi,

This was a bug, disabling vopt solves the problem.
Thanks for the help.

Regards,

Steven

Hans a écrit :
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top