Running testbench simulation problem with Quartus II 4.2 and Modelsim 6.0d

G

Guido

Dear all,
I am former Xilinx ISE user but now, for working reasons, I need to
migrate to Altera Quartus II.
Is it possible to simulate a project in Quartus II in the same simple
way as in ISE?
The only automated way I found to launch a simulation in Modelsim from
Quartus II is to specify an external EDA simulation program (Modelsim
VHDL) and use the "More setting" option to specify also the testbench I
want to use for the simulation.
The testbench I specified is a generic testbench, not linked to the
specific developing software I am using..in other word is not a
testbench like the one generated from the waveform editor.
In this way I was able to let the Modelsim run without any error but,
after 2ns the simulation stops. It seems like it doesn't perform any
iteration.
It should be a problem of the testbench? Or of the process I used for
the clock?

I rely on your help

Thanks to everybody

Guido
 
M

Mike Treseler

Guido said:
I am former Xilinx ISE user but now, for working reasons, I need to
migrate to Altera Quartus II.
Is it possible to simulate a project in Quartus II in the same simple
way as in ISE?

Not exactly the same way.
Quartus and modelsim are separate programs
that just happen to use some of the same
source files.
The only automated way I found to launch a simulation in Modelsim from
Quartus II is to specify an external EDA simulation program (Modelsim
VHDL) and use the "More setting" option to specify also the testbench I
want to use for the simulation.

I spend most of my time in my text editor
and run vcom, vsim and quartus scripts from there.
I don't find the Quartus editor very useful,
so I don't bother with automation from there.
The testbench I specified is a generic testbench, not linked to the
specific developing software I am using..in other word is not a
testbench like the one generated from the waveform editor.

That's good.
In this way I was able to let the Modelsim run without any error but,
after 2ns the simulation stops. It seems like it doesn't perform any
iteration.
It should be a problem of the testbench? Or of the process I used for
the clock?

Probably. Use modelsim to trace the code
and look at the waves.

-- Mike Treseler
 
G

Guido

Dear Mike,
thank you for your reply.
Unfortunately I am not very good in using Modelsim as a standalone
program.

What should I do in order to "trace the code"?

Thank you

Guido
 
M

Mike Treseler

Guido said:
Dear Mike,
thank you for your reply.
Unfortunately I am not very good in using Modelsim as a standalone
program.
What should I do in order to "trace the code"?

Click up a shell, bash or cmd.exe

mkdir play
cd play
vcom

If this doesn't give you the vcom usage,
type "exit" to close the shell,
find vcom, and add it's location
to your path. Restart from the top.

Once vcom runs from the shell,
save these files to the play directory:

http://home.comcast.net/~mike_treseler/uart.vhd
http://home.comcast.net/~mike_treseler/test_uart.vhd
http://home.comcast.net/~mike_treseler/uart.do

Now do this from the shell prompt in the play directory:

ls (or dir) to check for the 3 files
vlib work
vmap work work
vcom uart.vhd test_uart.vhd
vsim test_uart -do uart.do

And wait about 20 seconds.

This should bring up the modelsim gui, run the testbench
and show the waveforms.

Do View,Debug,All to see all the tools.
Next read Help,docs,Command Reference.
and write a .do file for your own project.

Good luck.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,582
Members
45,065
Latest member
OrderGreenAcreCBD

Latest Threads

Top