Where to find std_arith?

H

Hans K Eide

I am a novice with VHDL and trying to teach myself the language.

I use to write my design in modelsim, and my compilation in quartus. But
on the design I am working with now (making a FIFI) it seems I must use
the commando use work.std_arith.all to overload the + operand.

So, where can I fond the std_arith package, and how do I map it into my
work library?

Thanks
Hans Kristian Eide
 
H

hdlcohen

The std_arith package was replaced by the IEEE numeric_std, which is
available in the Modelsim distribution disk under vhdl_scr/ieee. I
suggest taht you transition to that package if possible.
--------------------------------------------------------------------------
Ben Cohen Trainer, Consultant, Publisher (310) 721-4830
http://www.abv-sva.org/ (e-mail address removed)
* Co-Author: SystemVerilog Assertions Handbook, 2005 ISBN 0-9705394-7-9
* Co-Author: Using PSL/SUGAR for Formal and Dynamic Verification 2nd
Edition, 2004, ISBN 0-9705394-6-0
* Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn
0-9705394-2-8
* Component Design by Example ", 2001 isbn 0-9705394-0-1
* VHDL Coding Styles and Methodologies, 2nd Edition, 1999 isbn
0-7923-8474-1
* VHDL Answers to Frequently Asked Questions, 2nd Edition, isbn
0-7923-8115
---------------------------------------------------------------------------
 
O

Okashii

Should numeric_std pkg also replace std_logic_signed and std_unsigned for
rtl synthesis? That is, does it mean it is not recommended to do arithmetic
in std_logic, but should be converted to signed or unsigned and do the
arithmetic using numeric_std?
 
A

Andy Peters

Okashii said:
Should numeric_std pkg also replace std_logic_signed and std_unsigned for
rtl synthesis? That is, does it mean it is not recommended to do arithmetic
in std_logic, but should be converted to signed or unsigned and do the
arithmetic using numeric_std?

Yes, absolutely.

-a
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,773
Messages
2,569,594
Members
45,125
Latest member
VinayKumar Nevatia_
Top