About "metavalue detected, returning FALSE" warning..

G

G Iveco

Hi, there:

I am getting a long list of this warning in my simulations ocurring at 0ps.
Code segments is down below.
Other simulation results are unaffected.

How do I remove this warning?

Thanks in advance!



# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
# Time: 0 ps Iteration: 1 Instance: /conv_vit_sim/i_vitb_dec/i_aem_0

library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
....
signal tmp_aem_0, tmp_aem_1, tmp_aem_2, tmp_aem_3 : unsigned(BW_AEM-1 downto
0);
....
cmp_u <= '1' when (tmp_aem_0 <= tmp_aem_1) else '0';
cmp_l <= '1' when (tmp_aem_2 <= tmp_aem_3) else '0';
 
M

Mike Treseler

G said:
I am getting a long list of this warning in my simulations ocurring at 0ps.
Code segments is down below.
Other simulation results are unaffected.
How do I remove this warning?

Initialize the testbench drive signal declarations.
These are all 'U' at time zero by default.

my_tb_sig : unsigned(BW_AEM-1 downto 0) := (others => '0');

-- Mike Treseler
 
D

David Bishop

G said:
Hi, there:

I am getting a long list of this warning in my simulations ocurring at 0ps.
Code segments is down below.
Other simulation results are unaffected.

How do I remove this warning?

If you are using Modeltech, you can set NumericStdNoWarnings to "1" to
ignore these warnings.

In the VHDL-200X package, there is a "package generic" that allows you
to shut these off in your testbench.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top