elevator controller

A

asuraseed

A building has an elevator accessing 3 levels. You are to design an elevator controller
with the following specifications:

1) The elevator has 2 switches for user to select either up or down. The seven-segment should display the count until the level is reached.

2) When in the elevator, the user selects the desired level. The seven-segment
should display the count until the selected level is reached.

3) A reset button in the elevator if activated, will take the elevator to level 1.

4) An emergency switch if pressed, will activate a light
 
P

Paul Uiterlinden

Anyone keen to help out?

No. Since firstly you did not pose a question in your first post and
secondly the relevance with VHDL is missing.

We are not here to do your homework.

Please start digging in into this assignment yourself and if you have any
problems regarding your implementation in VHDL feel free to come back.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top