Emacs, VHDL Mode - Upper Case Enum Values & Upper Case Constants (not working)?

Joined
Jun 3, 2010
Messages
14
Reaction score
0
In VHDL Mode, what exactly does 'Upper Case Enumeration Values' and 'Upper Case Constants' do?

If it's supposed to turn:

" type state is (idle, transfer, done);
...
case state is
when idle =>"

TO

" type state is (IDLE, TRANSFER, DONE);
...
case state is
when IDLE =>"

AND

"constant test : std_logic_vector(9 downto 0) := (others => '0');"
"constant TEST : std_logic_vector(9 downto 0) := (others => '0');"

then mines not working.. but I don't know that's for sure because I'm not exactly sure what it does or how to get it to work.

Does anyone know how to fix this or get it to work?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top