error in synthesis in vhdl code...

Joined
Jan 26, 2012
Messages
4
Reaction score
0
Hi...

i have written VHDL code and got simulated correctly.

but getting following error during synthesis::


ERROR:Xst:787 : line 98: Index value <9> is not in Range of array <output_stream>.


what is solution for this plz help me?????
 
Joined
Apr 21, 2012
Messages
1
Reaction score
0
Hi, You must have created 8 bit array and assigning the value to 9th bit of same array.
post the code if possible
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top