Hardware book like "Code Complete"?

W

Weng Tianxiang

Hi Mike,
Thank you for your response.
Now what is the first value after system asynchronous reset for first
loop?

Thank you.

Weng
 
M

Mike Treseler

BobG said:
I read the whole thread and no one recommended "The Art Of Electronics"
by Horowitz and Hill??

That's more of an electronics book than an RTL book.

-- Mike Treseler
 
M

Mike Treseler

Weng said:
Hi Mike,
Thank you for your response.
Now what is the first value after system asynchronous reset for first
loop?

Whatever the reset code says it is.
If there is no reset code, it might be a 'U'.
Check your simulation waveforms for exact answers.

-- Mike Treseler
 
M

mikegurche

You can assignee an initial value when a variable or signal is
declared, e.g.,

signal mysig: std_logic := '0';

This will be the initial value when simulation starts. According to
VHDL LRM, if there is no initial value, the first value defined in the
data type will be used. Since std_logic is defined as ('U', 'X', '0',
....) in 1164 package. The 'U' value (for uninitialized) will be the
default value.

Since the initial value cannot always be synthesized, this approach
should not be used in synthesis. It is better to use an explicit reset
mechanism to initialize a sequential circuit.

Mike G.
 
P

Paul Floyd

That's more of an electronics book than an RTL book.

It _is_ an electronics book. There is one mention of RTL, but it's
'resistor-transistor logic'. Not quite the same thing.

A bientot
Paul
 
W

Weng Tianxiang

Hi Mike,
Thank you.

Weng



You can assignee an initial value when a variable or signal is
declared, e.g.,

signal mysig: std_logic := '0';

This will be the initial value when simulation starts. According to
VHDL LRM, if there is no initial value, the first value defined in the
data type will be used. Since std_logic is defined as ('U', 'X', '0',
...) in 1164 package. The 'U' value (for uninitialized) will be the
default value.

Since the initial value cannot always be synthesized, this approach
should not be used in synthesis. It is better to use an explicit reset
mechanism to initialize a sequential circuit.

Mike G.
 
A

Andy

I almost always use integer subtypes for counters, so it would not
simulate as 'U'. I used a simple example to show a point about
combinatorial vs registered logic, not about reset; you can code async
or sync reset for registers using variables the same way you do for
signals.

Andy
 
M

Mike Treseler

Andy said:
I almost always use integer subtypes for counters, so it would not
simulate as 'U'.

I prefer integers for counters also.
Up to 31 bits, that is :)

-- Mike Treseler
 
V

vijayvithal jahagirdar

KJ said:
Always a big question...the other important question is finding the
'leader' to prod this along to get it going in the first place.

KJ
A few months ago a similar Idea was posted on an ASIC and Digital
design community at Orkut. The basic Idea was
a) To collect class notes/Presentation on a particular topic and expand
it to a book or
b) A person will come up with the book outline and others will submit
articles on specific topics and flesh it out
The final goal being to get a set of books on hardware design. You can
check the details at http://edaindia.com/books/

Regards
H.H.I Tracy
.....
 
D

David Ashley

vijayvithal said:
A few months ago a similar Idea was posted on an ASIC and Digital
design community at Orkut. The basic Idea was
a) To collect class notes/Presentation on a particular topic and expand
it to a book or
b) A person will come up with the book outline and others will submit
articles on specific topics and flesh it out
The final goal being to get a set of books on hardware design. You can
check the details at http://edaindia.com/books/

Regards
H.H.I Tracy

Why not go with Wikipedia? It's so easy for anyone to add to.
Just host the wikipedia software on some other server, if
Wikipedia's policies aren't satisfactory.

-Dave
 
C

Colin Marquardt

David Ashley said:
Why not go with Wikipedia? It's so easy for anyone to add to.
Just host the wikipedia software on some other server, if
Wikipedia's policies aren't satisfactory.

One could use http://wikibooks.org or http://wikia.com/ for hosting.
I too think that such a book project is doomed if the hurdle for
contributing is too high.

Cheers,
Colin
 
V

vijay

Colin said:
One could use http://wikibooks.org or http://wikia.com/ for hosting.
I too think that such a book project is doomed if the hurdle for
contributing is too high.

Cheers,
Colin

Most of the contributors to this thread seem to agree that writing a
Speciality book does not justify the effort that needs to be put in.
The reasons stated are
1> Small number of Digital designers.
2> Long time required to finish writing the book.

As an example suppose I decide to write a document on "VHDL/Verilog
coding style for Low Power mixed signal designs" which basically
collates the various material available on this topic in Public domain
and presents it in an easy to read and implement format. Out of the
estimated 10,000 Digital design engineers only a couple of hundreds
engineers (for e.g. those working on chips for handheld applications)
will actually find the book useful to the work at hand and will be
actually interested in buying the book. This makes the effort put in
writing the book commerically unviable.

Now suppose as a part of my normal work(either academic or otherwise) I
come up with a similar document which my funding organisation allows me
to put in public domain. I would rather prefer to put it as
is(ppt,word,pdf,ps,html etc.) and move on to the next pending work,
rather than rewrite the document in the format required by wiki.

I think in such a situation one of the following approach may be
suitable
1> Upload the document to my personal website and post the url to
usenet and other forums.
2> Upload the document to a common repository(Some place for electronic
documents similar to what CPAN is for Perl code or CTAN for tex macros)
say a sharepoint or a twiki or an interface similar to CPAN.

Collecting a set of similar articles, say on the topic of "digital
design in a low power mixed signal design" written by different authors
would give those 200 engineers working in this field a standard
reference. Due to the different formats and writing styles it would not
be a proper book but it can act as a loosely bound reference material

At a later date if this does turn out to be a hot topic and a demand
exists for a published text then it should be possible to get the
proper permissions form the respective authors and cleanup the
formatting linearise the content and publish the book.

Regards
Vijay
 
L

larwe

Andy said:
Care to estimate the size of the market?

I.e. how much would the author expect to make, given typical publishing contracts?

Speaking as someone who's written three speciality-ish engineering
books (go to www.larwe.com and look down the left-hand column for links
to the books), the point of writing a volume like this is one of two
things:

1. Get it picked up as a textbook or training book in college or by one
of the major semi manufacturers.

2. Treat it as advertising.

Route 1 can lead to respectable direct profits. Route 2 leads to
indirect profits through consultancy and so forth. Do not expect to
make your fortune through route 1; the real money is in route 2 but
requires more work to realize.

Your book is the dynamite that exposes a seam of gold. Significant
pick-work is necessary to extract the gold and bring it to town for
conversion into cash.
 
T

Tom Lucas

larwe said:
Speaking as someone who's written three speciality-ish engineering
books (go to www.larwe.com and look down the left-hand column for
links
to the books), the point of writing a volume like this is one of two
things:

1. Get it picked up as a textbook or training book in college or by
one
of the major semi manufacturers.

2. Treat it as advertising.

Route 1 can lead to respectable direct profits. Route 2 leads to
indirect profits through consultancy and so forth. Do not expect to
make your fortune through route 1; the real money is in route 2 but
requires more work to realize.

Your book is the dynamite that exposes a seam of gold. Significant
pick-work is necessary to extract the gold and bring it to town for
conversion into cash.

Must it always be about the money? Won't somebody think of the children
;-)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top