HDL AUTHOR and SLL problem!

A

a_Conan

Hi,
I am using the HDL Author,
my problem that i have designed this code in HDL Author:
-----------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

ENTITY test3 IS
PORT(
In0 : IN unsigned (15 DOWNTO 0);
clock: IN std_logic;
Out0 : OUT unsigned (15 DOWNTO 0)
);


END test3 ;



ARCHITECTURE struct OF test3 IS

BEGIN
exdd: process(clock)
begin
Out0 <= In0 sll 2;
end process exdd;


END struct;


---------------------------

it gives this error message!!!!!!!!!!!!1

ERROR: D:/Sara/Tests/hdl/test3_struct.vhd(57): near "sll": expecting:
';'


Why Why !!!!
 
A

a_Conan

Hi, I have checked the
Options > VHDL > Style > VHDL Dialect (for checking)
and it is set to Any Dialect.
i tried with VHDL-93

but the same problem :(
by the way i use HDL Author 2002.1b (Build 7)

so what is the solution ?
 
K

KJ

According to "VHDL for Logic Synthesis Second Edition" by Andrew
Rushton, page 86....

"Shifting operators are only available in VHDL'93 and are only built in
for arrays of type boolean or bit. This means that the only standard
type thas has shift operators is bit_vector".

Not sure what the actual VHDL spec says about shift operators, but
that's Rushton's view of it.

KJ
 
M

Mike Treseler

a_Conan said:
I am using the HDL Author,
my problem that i have designed this code in HDL Author:
it gives this error message!!!!!!!!!!!!1
ERROR: D:/Sara/Tests/hdl/test3_struct.vhd(57):
near "sll": expecting:';'

I don't get any error with modelsim.
Try doing this.
use ieee.std_logic_1164.all;
-- use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

Note that numeric_std covers unsigned type all by itself.
Why Why !!!!

Sounds like your simulator does not support numeric_std.sll.
Try a different one.
Consider writing your own code on a good text editor.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,770
Messages
2,569,586
Members
45,088
Latest member
JeremyMedl

Latest Threads

Top