help for a beginner

M

mike-t

Can anyone help me figure out how to connect these three ports.

I have a port - dataio : inout std_logic_vector (15 downto 0);
I would like to connect the following ports to it:

sdatao : out std_logic_vector (7 downto 0);
sdatai : in std_logic_vector (7 downto 0);


any help would be appreciated.
 
P

Paul Uiterlinden

mike-t said:
Can anyone help me figure out how to connect these three ports.

I have a port - dataio : inout std_logic_vector (15 downto 0);
I would like to connect the following ports to it:

sdatao : out std_logic_vector (7 downto 0);
sdatai : in std_logic_vector (7 downto 0);


any help would be appreciated.

Just connect them together. Like in real hardware, the trick is of
course preventing two outputs driving (non-'Z') at the same time. So
you must have some direction/enable inputs on the blocks that have
the dataio and sdatao outputs.
 
M

mike-t

Paul, thanks for the guidance..

I tryied the following port map:
sdatao <= dataio (7 downto 0)
sdatai <= dataio (15 downto 8)

this didnt work....
 
M

mike-t

Paul, thanks for the guidance..

I tryied the following port map:
sdatao <= dataio (7 downto 0)
sdatai <= dataio (15 downto 8)

this didnt work....
 
M

mike-t

Paul, thanks for the guidance..

I tryied the following port map:
sdatao <= dataio (7 downto 0)
sdatai <= dataio (15 downto 8)

this didnt work....
 
P

Paul Uiterlinden

mike-t said:
Paul, thanks for the guidance..

I tryied the following port map:
sdatao <= dataio (7 downto 0)
sdatai <= dataio (15 downto 8)
I have a port - dataio : inout std_logic_vector (15 downto 0);
 I would like to connect the following ports to it:

sdatao : out std_logic_vector (7 downto 0);
sdatai : in std_logic_vector (7 downto 0);

I missed the point that the inout port is 16 bits wide and the two
other ports 8 bits.

So what exactly do you want to achieve? What are the requirements?
Does it involve three components, like this?:

component_1 dataio --<->--15:0--+---15:8--<-- sdatao component_2
|
+----7:0-->-- sdati component_3
this didnt work....

That's not a very exact descriprion, is it? What did you do, what did
you see, what did you expect?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,763
Messages
2,569,562
Members
45,038
Latest member
OrderProperKetocapsules

Latest Threads

Top