Including Verilog parameter in VHDL

  • Thread starter federico aglietti
  • Start date
F

federico aglietti

Hi,

I have to include several instances of the same Verilog module inside
a VHDL design (just as an example: a counter of define-able length)

Every instance should have its own parameters set.

How can I set these values from the VHDL?

Thanks!!

Federico
 
H

HT-Lab

If you use Modelsim then you can simply use generics to set module parameters.

Have a look in your manual/user guide how to mix verilog and VHDL.

Hans
www.ht-lab.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,042
Latest member
icassiem

Latest Threads

Top