[modelsim] displaying signals from inside components

N

Nikola Skoric

Hi there,

I wanted to add a signal to default graph I get when I run "Simulate
Behavioral Model" from Xilinx Project Navogator. I ran a test bench in
ModelSim (invoked ModelSim from Xilinx Project Manager), saved the
generated wave.do file and added a line which, as I undestand it, should
add an signal to the graph:

onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -format Logic /rounds_tb_vhd/clk
# more add wave ...
add wave -noupdate -format Literal /rounds_tb_vhd/round
add wave -noupdate -format Literal /rounds_tb_vhd/uut/rnd_n/subkey
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
configure wave -namecolwidth 212
# more configures...
configure wave -timeline 0
update
WaveRestoreZoom {0 ps} {1050 ns}

The signal I added is:
/rounds_tb_vhd/uut/rnd_n/subkey
The only difference between that signal and the rest of signals is that
this one is not from unit under test but from a component inside unit
under test. When I executed my new wave.do, I get a "-No Data-" for
"subkey" signal. What did I do wrong?
 
J

Jonathan Bromley

When I executed my new wave.do, I get a "-No Data-" for
"subkey" signal. What did I do wrong?

You probably added the waves AFTER the simulation had run.
ModelSim by default logs only those signals that are already
in the wave window. After you've added the new waveform,
restart and re-run the sim:

restart -f; run -all

It's also possible that you have visibility off; take a look
at the documentation for the +acc visibility option
in the compiler.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
N

Nikola Skoric

You probably added the waves AFTER the simulation had run.
ModelSim by default logs only those signals that are already
in the wave window. After you've added the new waveform,
restart and re-run the sim:

restart -f; run -all

Hm, when I execute "run -all", I don't get the prompt back and
everything just hangs. But, nevermind, I figured out which file ModelSim
executes to make the graph (fdo file) so now I just add the signal
there. Thanks anyway.
 
M

Mike Treseler

Nikola said:
Hm, when I execute "run -all", I don't get the prompt back and
everything just hangs. But, nevermind, I figured out which file ModelSim
executes to make the graph (fdo file) so now I just add the signal
there. Thanks anyway.


I would get out of Project Navigator and run Modelsim directly.
Mr. Bromley's answer is the correct solution
to your problem, but you have more than one problem.

Here is an example of how to construct a path to a DUT waveform:
http://home.comcast.net/~mike_treseler/uart.do

If that doesn't do the trick,
please spare me the "thanks anyway"

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top