Global signal-register

Joined
Dec 22, 2009
Messages
1
Reaction score
0
Hello! I am on a VHDL project and I have a question. Is it possible to have a signal in an architecture of an entity which can be accessed by other entities too? What I want to do is to have a register which I can access it by any entity I need to.
 
Joined
Oct 2, 2009
Messages
19
Reaction score
0
For me, I'd make a top module that houses the various relevant codes together, connecting those codes through its signal.

So say you have a.vhd and b.vhd. You can combine them as such:

Code:
entity topmodule is
port ( a bunch of signals...);
end entity; 

architecture rtl of topmodule is

component a
port ( sigA: in std_logic);
end component;

component b
port ( sigB : in std_logic);
end component;

signal common_sig : std_logic;

begin

component1 : a
port map (sigA => common_sig);

component2 : b
port map (sigB => common_sig);

end rtl;

Hope this is what you are looking for.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,023
Latest member
websitedesig25

Latest Threads

Top