help with an error

Joined
Jun 30, 2012
Messages
1
Reaction score
0
hi,

i'm planing a decrementer that subtract 1 from a 'N' bits number's .
but the planing is to do it in NCL - Asynchronous logic.
i have some errors and i can't resolve it.
here is the vhd source

http://www.upf.co.il/file/792430098.html

here is the errors:

(vcom-1348) Prefix (label "carry") of indexed name is not an array.

(vcom-1348) Prefix (label "carry") of indexed name is not an array.

Indexed name is type (error); expecting type work.ncl_pkg.ncl_bits.
(vcom-1454) Formal "c_or" of mode OUT cannot be associated with an expression.
 
Joined
Jun 28, 2012
Messages
8
Reaction score
0
Hi,

The problem is at line 183 :

carry : entity work.or_xnor_ncl

because carry is already the name of one of your signals, then you have to rename it.

Jonas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top