intialisation of matrix of integers

Joined
Aug 30, 2012
Messages
1
Reaction score
0
please help me how to initialise a matrix containing integers of order 2x2
.
.
.
.
.
.
.
.
>what is the problem in following program, i could'nt understand:


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;

entity test_12 is
port(a,b,c,d:in integer;e,f,g,h: out std_logic_vector(4 downto 0));

end test_12;

architecture behv of test_12 is
type a11 is array(1 to 2,1 to 2) of std_logic_vector(2 downto 0);
type b11 is array(1 to 2,1 to 2) of std_logic_vector(2 downto 0);
signal sa,sb,sc,sd:signed(3 downto 0);
begin
sa<= conv_signed(a,4);
sb<= conv_signed(b,4);
sc<= conv_signed(c,4);
sd<= conv_signed(d,4);
a11<=((sa,sb),(sc,sd));
process
begin
e:=a1(1,1)+'1';
end process;
end behv;

in this program, it was showing that "conv_signed" is unknown identifier
but conv_signed is predefined function in std_logic_arith library....

please anyone help me in this program
 
Last edited:

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,020
Latest member
GenesisGai

Latest Threads

Top