library IEEE_PROPOSED: how compile it? ERROR: syntax error near .... (VHDL-1261)

Joined
Jan 14, 2008
Messages
6
Reaction score
0
Hello.
I'm trying to check this example in vhdl code:

http://www.syssim.ecs.soton.ac.uk/vhdl-ams/examples/sdm.htm

I'm using Actel Libero IDE v8.0. When I check the code I've a lot of errors, for example:

C:/Actelprj/Example/hdl/test.vhd(19): ERROR: syntax error near vin (VHDL-1261)

where line 19 is:

PORT(TERMINAL vin : ELECTRICAL;

I think that it don't load:

library IEEE_PROPOSED;
use IEEE_PROPOSED.ELECTRICAL_SYSTEMS.all;


How can I load or compile this library "ieee_proposed"? I've search on the web but I don't find the answer for Actel Libero IDE v8.0. Please help me. Thanks.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,011
Latest member
AjaUqq1950

Latest Threads

Top