ModelSim ACTEL 6.1b help

I

Ivangray

Hi,
I'm a new user for work with a fpga components, I use ACTEL Fusion
Starter KIT.
I dont understand why this code dont work in ModelSim ACTEL 6.1b when
compile follow code :

-- mytimer.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity mytimer_1 is
port(
clkin: in std_logic;
reset: in std_logic;
start: in std_logic;
led_o: out std_logic
);
end mytimer_1;

architecture behave of mytimer_1 is
signal clkout: std_logic;
signal led: std_logic;

signal counter: std_logic_vector(2 downto 0);
signal tempo: std_logic_vector(19 downto 0);

begin
led_o <= led;
process(clkin) begin
if(rising_edge(clkin)) then
if(tempo = "00000000000000000000") then
tempo <= "11110100001001000000";
clkout <= '1';
else
tempo <= tempo -'1';
clkout <= '0';
end if;
end if;
end process;

process(reset,start,clkout,counter) begin
if(reset='0')then
counter <= "000";
led <= '1';
else
if(start='0')then
led <= '0';
counter <= "000";
else
if(rising_edge(clkout))then
counter <= counter +'1';
end if;
if(counter > "101")then
led <= '1';
counter <= "000";
end if;
end if;
end if;
end process;
end behave;

Regards
Ivano
 
A

Ajeetha

Hi,
What do you mean by "it doesn't work"? Show us the exact error (Sorry
I didn't compile your code to see the error myself)

Ajeetha, CVC
www.noveldv.com
 
I

Ivangray

Ajeetha ha scritto:
Hi,
What do you mean by "it doesn't work"? Show us the exact error (Sorry
I didn't compile your code to see the error myself)

Ajeetha, CVC
www.noveldv.com
Now compile. On manual I see must use -explict.
The problem now is tempo value is alwais XXXXXXXXXXXXXXXXXXXX i dont
understand this error.

regards
Ivano
 
T

Thomas Stanka

Ivangray said:
Now compile. On manual I see must use -explict.
The problem now is tempo value is alwais XXXXXXXXXXXXXXXXXXXX i dont
understand this error.

Take your code, assume tempo=XXXXXXXXXXXXXXXXXXXXXXX and think about
what your code would do on the next clock cycle.

Maybe you should start with a reset to set tempo in a known state.

bye Thomas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,014
Latest member
BiancaFix3

Latest Threads

Top