Procedure VHDL

Joined
Mar 13, 2008
Messages
5
Reaction score
0
Hi,

I am trying to make my test bench code look easier.

So I tried to make a procedure like this:

Code:
procedure rw_tb(
        signal strb, rw : in std_logic;
	signal io_adr, io_data : in std_logic_vector;
	signal strb_o, rw_o : out std_logic;
	signal io_adr_o, io_data_o: out std_logic_vector) is
	begin
		strb_o <= strb;
		rw_o <= rw;
		io_adr_o <= io_adr;
		io_data_o <= io_data;
	end rw_tb;

and I tried to call it with:

rw_tb('1', '1', X"10", X"7F7F", strb, rw, io_addr, io_data);

but I get the following errors, why dose this not work?

Code:
ERROR:HDLParsers:3298 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" line 194. Expression is not a static name.
ERROR:HDLParsers:3321 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" Line 194. Actual associated with Formal signal is not a static signal name. (LRM 2.1.1.2)
ERROR:HDLParsers:3298 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" line 194. Expression is not a static name.
ERROR:HDLParsers:3321 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" Line 194. Actual associated with Formal signal is not a static signal name. (LRM 2.1.1.2)
ERROR:HDLParsers:3298 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" line 194. Expression is not a static name.
ERROR:HDLParsers:3321 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" Line 194. Actual associated with Formal signal is not a static signal name. (LRM 2.1.1.2)
ERROR:HDLParsers:3298 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" line 194. Expression is not a static name.
ERROR:HDLParsers:3321 - "/home/monty/kurser/vlsi/project/project_with_xco/vlsi/../project/test_bench/hib_dcu_cnn_dist_values_tb.vhd" Line 194. Actual associated with Formal signal is not a static signal name. (LRM 2.1.1.2)

thank you in advance
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0

Attachments

  • Fig000.jpg
    Fig000.jpg
    102.2 KB · Views: 439
Last edited:
Joined
Sep 3, 2008
Messages
1
Reaction score
0
hello,

i have a similar error. maybe you can help me too.

procedure test (
signal input : in std_logic_vector;
signal ctrl : in std_logic_vector(2 downto 0);
signal output : out std_logic_vector;
constant vector_bit : in integer range 0 to 31) is
begin

bla(input => input(vector_bit), ctrl => ctrl, output => output(0));

end;

passing the argument of output static works
trying to pass the parameter of input with the constant integer produces an error

Actual (indexed name) for formal "input" is not a static signal name.

any ideas?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top