sensitivity list confusion

Joined
Sep 25, 2006
Messages
1
Reaction score
0
Hi all....i am student learning the VHDL coding this semester.....recently i found that the process statement with its sensitivity list does not work the way it is said in the text book.....although i never insert a particular signal into the sensitivity list....the output is changes whenever the particular signal changes value...... for example

-- h
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;

entity h is
port (
d : out STD_LOGIC;
c : in STD_LOGIC;
a : in STD_LOGIC;
b : in STD_LOGIC
);
end h;

architecture h_arch of h is
begin
process(a)
begin

d<=a and b and c;


end h_arch;


the d value changes each time the value of either b or c changes although i mantain the value of a...

Can anybody help me in this problem???

Thanx!!!!!!!:):-?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top