timing in ISE Simulator

Joined
Jun 8, 2008
Messages
7
Reaction score
0
Hello all,

i send two topic in this forum no one response.............

i wish any one reply me

i'm in abig problem...i use ISE simulator to simulate a circuit and i put input and recive the output i have an ambigity in two thing.....

first ,......timing report for the simulator ...where can i get it..
second,... are the next code true for a clock added to code or what??


entity Mult2 is
Port ( A : in STD_LOGIC_VECTOR (2 downto 0);
B : in STD_LOGIC_VECTOR (2 downto 0);
C : out STD_LOGIC_VECTOR (3 downto 0);
clk:in std_logic);
end Mult2;

architecture Behavioral of Mult2 is

begin
process(clk)
begin
if clk'event and clk='1' then
c(0)<=a(0) and b(0);
c(2)<=a(1) and b(1);
c1<=c(0) xor c(2) xor((b0 xor b1) and (a0 xor a1));
end if;
end process;
end Behavioral;


note: i want anyone to help me and contact him for some problems can anyone help me
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,580
Members
45,054
Latest member
TrimKetoBoost

Latest Threads

Top