Xilinx ISE, expression not globally static inside generic map

Joined
Nov 22, 2016
Messages
1
Reaction score
0
Hello,

Is this allowed inside Xilinx ISE : generic map (record1.field1'length) ??

Previously I have defined record:

type test_record is record
field1 : std_logic_vector(31 downto 0);
field2 : std_logic_vector(31 downto 0);
end record;

constant record1 : test_record := ((others => '0'),(others => '0'));

I get this error message:

The actual value (Attribute name) associated with a generic must be a globally static expression

Thanks in advance :)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top