Trimming of signals

J

jonasmaes

Hi again :),

I'm having a few problems with mapping my VHDL program. It gives me a
couple of Maplib:661 error. For example:

LUT4 symbol "TxD_start_mux00031" (output signal=TxD_start)
has input signal "TxD_start_cmp_lt0000" which will be trimmed. See
the trim
report for details about why the input signal will become undriven.

The mapreport says: The signal "TxD_start_cmp_lt0000" is unused and
has been removed.


I mean, what gives??? The mapper removed a signal thinking it's not
used, and later it gives an error because he needs it but has been
trimmed?


The logical solution would be to turn the trimming of unused signals
off. I did that (unchecked it) but it doesn't make a difference!! Is
this a bug or some sort?

I'm using Xilinx 9.1i for the record.

Thx
Jonas
 
M

Mike Treseler

The logical solution would be to turn the trimming of unused signals
off. I did that (unchecked it) but it doesn't make a difference!! Is
this a bug or some sort?

Probably not. Maybe that signal does not affect
any output port. Synthesis is correct to trim
in that case. I use simulation to verify
incomplete designs. Good luck.

-- Mike Treseler
 
J

jonasmaes

Probably not. Maybe that signal does not affect
any output port. Synthesis is correct to trim
in that case. I use simulation to verify
incomplete designs. Good luck.

-- Mike Treseler


hmm,

fixed it, but i really don't understand why :)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top