In VHDL testbench, how do I probe internal signal of an entity?

G

G Iveco

In Verilog, I would do

assign my_probe = i_mydesign.i_submodule.mult_en;

I checked my textbooks but difficult to find equivalents in VHDL.

Thanks in advance.
 
G

G Iveco

Does VHDL support `include statement?

I was used to write tables and functions in a separate file and use `include
in
verilog design, in order to "put everything in single file" while avoiding
large
filesizes, one example is 1000+-line look-up tables.
 
H

HT-Lab

G Iveco said:
In Verilog, I would do

assign my_probe = i_mydesign.i_submodule.mult_en;

I checked my textbooks but difficult to find equivalents in VHDL.

Thanks in advance.

Hierarchical references will be supported in the upcoming VHDL2006, see Jim
Lewis presentation at
http://www.synthworks.com/papers/vhdl_accellera_lewis_marlug_2006_color.pdf

Most, if not all simulator have some non-standard support for this, if you
are using Modelsim then have a look at signalspy,

Hans
www.ht-lab.com
 
H

HT-Lab

G Iveco said:
Does VHDL support `include statement?

I was used to write tables and functions in a separate file and use
`include in
verilog design, in order to "put everything in single file" while avoiding
large
filesizes, one example is 1000+-line look-up tables.

Look up library, use and package in your VHDL textbook (or google)

Hans
www.ht-lab.com
 
A

anupam.jain21

Does VHDL support `include statement?

I was used to write tables and functions in a separate file and use `include
in
verilog design, in order to "put everything in single file" while avoiding
large
filesizes, one example is 1000+-line look-up tables.


VHDL doesn't support 'include .However google "vunit" .This might
help.

Probing of internal signals is also not supported by the language but
individual simulators provide this function.
For ModelSim, google "SingalSpy".
For NcSim, google "nc_mirror"

regards,
Anupam Jain
 
P

Pieter Hulshoff

VHDL doesn't support 'include .However google "vunit" .This might
help.

Probing of internal signals is also not supported by the language but
individual simulators provide this function.
For ModelSim, google "SingalSpy".
For NcSim, google "nc_mirror"

As an alternative, you could consider placing the signal in a package, and use
that package both in your design and in your test bench/case.

Kind regards,

Pieter Hulshoff
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,021
Latest member
AkilahJaim

Latest Threads

Top