Signed operation in VHDL

Joined
Jun 5, 2007
Messages
51
Reaction score
0
Hi all,

This topic on signed operation in VHDL puzzles me a lot. first of all, i tried the subtraction operation using unsigned data type, then with the signed data type. Both gives the same output in simulation. can anyone please explain me this?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top