VHDL port type conversion

Joined
Dec 16, 2009
Messages
3
Reaction score
0
Is there an easy way to assign the unsigned output of a component to a std_logic_vector? BTW...I'm aware that you can assign it to a std_logic_vector signal then to an unsigned signal. I'm looking for a way to skip the intermediate step.

For example...

component Dummy
port (
Clk : in std_logic;
Data : out unsigned(31 downto 0);
) ;
end component;


signal ChipscopeData : std_logic_vector(31 downto 0);


InstDummy : Dummy
port (
Clk => Clk,
Data => ChipscopeData <= ERROR Data is unsigned, ChipscopeData is std_logic_vector.
) ;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top