Assigning arrays of different types

A

ALuPin

Hi,

I am trying the following:


library ieee;
use ieee.std_logic_1164.all;

entity test is
end test;

architecture rtl of test is

subtype stype_2b is std_logic_vector(1 downto 0);
type type_ch_array is array (natural range <>) of stype_2b;
type type_ch is array (natural range <>) of stype_2b;


signal ls_array : type_ch_array(15 downto 0);

signal ls_subarray : type_ch(3 downto 0);

begin

ls_array(3 downto 0) <= ls_subarray;

end rtl;

Can someone explain why the compiler complains about
that assignment ?

Rgds
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,564
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top