'stable operator

Joined
Jun 5, 2007
Messages
51
Reaction score
0
Can I use 'stable operator in VHDL to monitor the change of signal level without using it as clock signal.

Signal a : std_logic;

begin

process ()

If rising_edge (clk) then

if (not a'stable) then

Dout <= Din;

end if;

end if;

But this gives me error in xilinx ISE and I had to use the stable operator as clock signal like,

if (not a'stable and a='1')

any guidelines of how to use 'stable operator
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,770
Messages
2,569,584
Members
45,075
Latest member
MakersCBDBloodSupport

Latest Threads

Top