Anyone can check if XST v11 has fixed this bug ?

B

Bert_Paris

Hello,

I stumbled on yet another XST VHDL bug in v 10.1, & haven't had time to
install the new v11. I would appreciate if someone could tell me if
this bug is still there (I would have to modify our obfuscator just for
XST, something I'd prefer not do). Thanx.
Bert

Here is the (correct) code :

-- XST_TESTCASE.vhd
-- ---------------------------------------------------------------
-- another XST Issue :-( (tested on version 10.1)
-- ---------------------------------------------------------------
-- Author : Bert CUZEAU
-- Contact : (e-mail address removed)
-- Web : http://www.alse-fr.com
-- -----------------------------------------------------------------
-- Bug : XST fails when an extended Identifier
-- is used in an enumeration
-- -----------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;

-- ----------------------------------------------
Entity TEST is
-- ----------------------------------------------
Port ( CLK : in std_logic; -- System Clock at Fqxtal
RST : in std_logic; -- Asynchronous Reset active high
Dout : out std_logic );--
end entity TEST;

-- ---------------------------------------------------------------
Architecture RTL of TEST is
-- ---------------------------------------------------------------
Type State_t is (Idle,\Shift__TX\);
signal State : State_t;
-----\
Begin --
-----/
Dout <= '1' when State=\Shift__TX\ else '0';
-- --------------------------
-- State Machine
-- --------------------------
process (RST, CLK)
begin
if RST='1' then
State <= Idle;
elsif rising_edge(CLK) then
case State is
when Idle =>
State <= \Shift__TX\;
when \Shift__TX\ =>
State <= Idle;
end case;
end if;
end process;
end RTL;
 
H

HT-Lab

Bert_Paris said:
Hello,

I stumbled on yet another XST VHDL bug in v 10.1, & haven't had time to
install the new v11. I would appreciate if someone could tell me if this
bug is still there (I would have to modify our obfuscator just for XST,
something I'd prefer not do). Thanx.
Bert

Here is the (correct) code :

-- XST_TESTCASE.vhd
-- ---------------------------------------------------------------
-- another XST Issue :-( (tested on version 10.1)
-- ---------------------------------------------------------------
-- Author : Bert CUZEAU
-- Contact : (e-mail address removed)
-- Web : http://www.alse-fr.com
-- -----------------------------------------------------------------
-- Bug : XST fails when an extended Identifier
-- is used in an enumeration
-- -----------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;

-- ----------------------------------------------
Entity TEST is
-- ----------------------------------------------
Port ( CLK : in std_logic; -- System Clock at Fqxtal
RST : in std_logic; -- Asynchronous Reset active high
Dout : out std_logic );--
end entity TEST;

-- ---------------------------------------------------------------
Architecture RTL of TEST is
-- ---------------------------------------------------------------
Type State_t is (Idle,\Shift__TX\);
signal State : State_t;
-----\
Begin --
-----/
Dout <= '1' when State=\Shift__TX\ else '0';
-- --------------------------
-- State Machine
-- --------------------------
process (RST, CLK)
begin
if RST='1' then
State <= Idle;
elsif rising_edge(CLK) then
case State is
when Idle =>
State <= \Shift__TX\;
when \Shift__TX\ =>
State <= Idle;
end case;
end if;
end process;
end RTL;

I will have a go at it give that it is raining again in the UK :-(

Using 11.1 I get:

ERROR:HDLParsers:3312 - "D:/test_lib/x.vhd" Line 31. Undefined symbol
'\Shift__TX\'.
ERROR:HDLParsers:1209 - "D:/test_lib/x.vhd" Line 31. \Shift__TX\: Undefined
symbol (last report in this block)
ERROR:HDLParsers:813 - "D:/test_lib/x.vhd" Line 41. Enumerated value
\shift__tx\ is missing in case.
-->

Total memory usage is 126028 kilobytes

Number of errors : 3 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

Hans
www.ht-lab.com
 
H

HT-Lab

Jonathan Bromley said:
And because of the rain, I'm supposed to be tidying the
filing cabinet; and because of that, I'm reading Usenet :)


Ahah. So it seems that XST is flattening uppercase letters
in the escaped-identifier to lowercase, but not everywhere.
It would be interesting to try the same testcase with the
identifier name changed to \shift__tx\ (all lowercase).

You are right!

=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "D:/test_lib/x.vhd" in Library test_lib.
Architecture rtl of Entity test is up to date.

Reading constraint file D:/test_lib/TEST.xcf.
XCF parsing done.
....
Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

www.ht-lab.com
Hans
 
H

HT-Lab

Jonathan Bromley said:
And because of the rain, I'm supposed to be tidying the
filing cabinet; and because of that, I'm reading Usenet :)


Ahah. So it seems that XST is flattening uppercase letters
in the escaped-identifier to lowercase, but not everywhere.
It would be interesting to try the same testcase with the
identifier name changed to \shift__tx\ (all lowercase).

You are right!

=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "D:/test_lib/x.vhd" in Library test_lib.
Architecture rtl of Entity test is up to date.

Reading constraint file D:/test_lib/TEST.xcf.
XCF parsing done.
....
Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

www.ht-lab.com
Hans
 
B

Bert_Paris

Thanks everyone !
FYI, it's raining in Paris too :-(, but sunny in Normandy ;-)
This bug is bad news since our obfuscator produces "all-uppercased"
code. I'll have to modify it...
Bert
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Staff online

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top